04.04.2005 15:03:00

Magma Revolutionizes EDA Industry with ''Signoff in the Loop'' Tec

Magma Revolutionizes EDA Industry with ''Signoff in the Loop'' Technology Ecosystem for IC Design


    Business Editors/High-Tech Writers

    SANTA CLARA, Calif.--(BUSINESS WIRE)--April 4, 2005--

Magma's "Signoff in the Loop" Products Quartz RC, Quartz Time and Blast Fusion QT Eliminate External Signoff Iterations for Dramatic Reduction in Turnaround Time

    Magma(R) Design Automation Inc. (Nasdaq:LAVA), a provider of semiconductor design software, today announced the availability of the electronic design automation industry's first "Signoff in the Loop"(TM) flow for dramatic reduction in integrated circuit turnaround time. Magma's Quartz RC(TM), Quartz Time(TM) and Blast Fusion(R) QT expand Blast Fusion into a self-contained IC design signoff system for timing and noise signoff. Developed to address customers' needs for faster design flows at advanced geometries of 90 and 65 nanometers, Magma's "Signoff in the Loop" eliminates external signoff iterations and delivers correct-by-construction results, reducing signoff to a mere checklist activity.
    "We have used the Magma flow successfully on many tapeout designs to identify and correct signal integrity and OCV problems and are very pleased that Magma is incorporating more advanced capabilities to analyze and optimize the design for OCV at multiple corners and for multiple modes," said Dr. Satya Gupta, vice president of Engineering at Open-Silicon. "We strongly believe that these features are required for advanced designs and the integration of these capabilities within the implementation flow will allow us to identify and fix problems faster and more efficiently."
    Design teams have traditionally relied upon one set of tools for implementation and another set for signoff analysis. While this separation enables an advantageous tradeoff with respect to accuracy versus runtime, it also requires corrective iteration loops when discrepancies are found during signoff analysis. With the increased analysis challenges posed for analysis tools by 90- and 65-nanometer processes, such as combining noise analysis with on-chip variation (OCV) across ever-increasing process corners and operating modes, the use of separate point signoff tools becomes a primary bottleneck in the drive to improve design cycle time. Magma's "Signoff in the Loop" flow breaks the signoff iteration bottleneck by making signoff-level analysis directly available during the implementation flow.
    "We are gratified by the response from customers who are replacing their current extraction and timing point tools with Magma's integrated 'signoff in the loop' technology for their production ASIC flows," said Suk Lee, general manager of Magma's Silicon Signoff Business Unit. "Initial results demonstrate that IC designers can improve turnaround time by four weeks or more over existing EDA products."

    About Magma's "Signoff in the Loop"

    Magma's signoff-quality analysis tools for extraction, timing and noise integrate with, and complement, Magma's RTL-to-GDSII flow using Blast Fusion. "Signoff in the Loop" offers ASIC designers flexibility by providing an ecosystem of products that can be deployed either as standalone tools for ASIC customer validation, or with extraction, timing and noise capabilities that are integrated into Magma's RTL-to-GDSII flow.
    Magma's "Signoff in the Loop" ecosystem consists of three products: Quartz RC, Quartz Time and Blast Fusion QT. Quartz RC is a full-chip signoff extraction product that operates in both integrated and standalone modes. Quartz Time is a standalone timing plus noise signoff analysis product that shares its timing engine with Blast Fusion QT and its noise engine with Blast Noise. Blast Fusion QT is the integrated timing signoff and advanced timing optimization product, and is available as an option to Blast Fusion customers. Magma's Blast Noise(R) provides Blast Fusion with noise analysis and optimization capabilities.

    Quartz RC -- Full-Chip Signoff Extraction

    Quartz RC is a signoff-quality parasitic extraction product that delivers accuracy closely correlated to the acknowledged industry Gold standard for parasitic extraction, QuickCap(R). Quartz RC is a full-chip extractor that can be accessed within the Blast Fusion flow or can be used as a standalone system by ASIC designers via industry-standard LEF/DEF (Library Exchange Format/Design Exchange Format) input.
    Quartz RC uses a powerful and efficient shape-based geometry processing engine to achieve signoff accuracy within 5 percent of QuickCap. To support more accurate noise analysis, Quartz RC also implements a highly accurate aggressor-detection algorithm. Quartz RC uniquely leverages Magma's QuickCap technology by using QuickRules(TM) for accurate and easy-to-use 3D cap rule generation and QuickCap CNE (Critical Net Extraction) for even greater accuracy on selected timing critical nets. Magma's Quartz RC is the only signoff-quality parasitic extraction product with multi-threading and distributed processing, that delivers up to 30 percent performance gain; and simultaneous multi-corner extraction that provides up to 80 percent performance enhancement and efficient incremental extraction.

    Quartz Time -- Advanced Timing Signoff and Noise Analysis

    Magma's Quartz Time provides timing plus noise analysis accuracy and features required for signoff. Quartz Time was designed to address the complex timing problems that 90-nanometer and more advanced processes create for IC designers. Quartz Time offers a unique multi-mode and multi-corner analysis capability and offers advanced timing capabilities, such as enhanced current source model (ECSM) support, as well as support for multi-voltage design and timing impact of voltage-drop-induced delay. By sharing its analysis engine with Blast Fusion QT and Blast Noise, Quartz Time complements perfectly the built-in signoff system of Blast Fusion QT and extends support to ASIC designers' independent signoff verification flows.

    Blast Fusion QT -- Integrated Timing Signoff and Advanced Timing Optimization

    Magma's Blast Fusion QT integrates the signoff-quality timing analysis technology of Quartz Time into the Blast Fusion flow, and provides advanced timing optimization capabilities to handle the effect of both global and localized on-chip-variation, as well as the effect of multiple operating corners and for multiple modes of operation. By integrating an advanced level of timing analysis during the implementation flow, Blast Fusion QT analyzes and fixes critical timing problems automatically within the flow. This allows designers to significantly reduce turnaround time while ensuring that timing is validated before handoff.

    Availability

    Magma's new "Signoff in the Loop" IC design ecosystem products, Quartz RC, Quartz Time and Blast Fusion QT, will be widely available in June 2005, either standalone or integrated with Magma's RTL-to-GDSII flow.

    About Magma Design Automation

    Magma provides leading software for designing highly complex integrated circuits while maximizing Quality of Results with respect to area, timing and power, and at the same time reducing overall design cycles and costs. Magma provides a complete RTL-to-GDSII design flow that includes prototyping, synthesis, place & route, and signal and power integrity chip design capabilities in a single executable, offering "The Fastest Path from RTL to Silicon"(TM). Magma's software also includes products for advanced physical synthesis and architecture development tools for programmable logic devices (PLDs); capacitance extraction; and characterization and modeling. The company's stock trades on Nasdaq under the ticker symbol LAVA. Visit Magma Design Automation on the Web at www.magma-da.com.

    TRADEMARKS

    Magma, Blast Noise, QuickCap and Blast Fusion are registered trademarks and QuickRules, Quartz RC, Quartz Time, "Signoff in the Loop" and "Fastest Path from RTL to Silicon" are trademarks of Magma Design Automation. Other products and trademarks are owned by their respective companies.

    FORWARD-LOOKING STATEMENTS:

    Except for the historical information contained herein, the matters set forth in this press release, including statements that Magma's architecture-specific synthesis and physical optimizations shorten design cycles and maximize quality of results and about the features and benefits of Magma's system are forward-looking statements within the meaning of the "safe harbor" provisions of the Private Securities Litigation Reform Act of 1995. These forward-looking statements are subject to risks and uncertainties that could cause actual results to differ materially including, but not limited to abilities to keep pace with rapidly changing technology and the ability of Magma's products to produce desired results. Further discussion of these and other potential risk factors may be found in Magma's latest filings with the Securities and Exchange Commission on form 10-K, and any subsequent updates thereto on form 10-Q. These forward-looking statements speak only as of the date hereof. Magma disclaims any obligation to update these forward-looking statements.

--30--AHM/sf*

CONTACT: Magma Design Automation Inc. Maxine Bingham, 408-565-7631 maxine@magma-da.com

KEYWORD: CALIFORNIA INDUSTRY KEYWORD: HARDWARE SOFTWARE COMPUTERS/ELECTRONICS TELECOMMUNICATIONS NETWORKING PRODUCT EDA SOURCE: Magma Design Automation Inc.

Copyright Business Wire 2005

Nachrichten zu Magma Design Automation Inc. (MDA)mehr Nachrichten

Keine Nachrichten verfügbar.

Analysen zu Magma Design Automation Inc. (MDA)mehr Analysen

Eintrag hinzufügen
Hinweis: Sie möchten dieses Wertpapier günstig handeln? Sparen Sie sich unnötige Gebühren! Bei finanzen.net Brokerage handeln Sie Ihre Wertpapiere für nur 5 Euro Orderprovision* pro Trade? Hier informieren!
Es ist ein Fehler aufgetreten!